登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書

『簡體書』EDA技术与应用

書城自編碼: 3891969
分類:簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 周振超、冯暖、沈超、程雪婷
國際書號(ISBN): 9787302635093
出版社: 清华大学出版社
出版日期: 2023-08-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:HK$ 72.0

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
反刍效应:为什么产生反复的负面情绪和思维以及如何避免和阻止它
《 反刍效应:为什么产生反复的负面情绪和思维以及如何避免和阻止它 》

售價:HK$ 95.9
天人之际:考古美术视野中的山水
《 天人之际:考古美术视野中的山水 》

售價:HK$ 201.6
毛线球48:致敬经典的冬日编织
《 毛线球48:致敬经典的冬日编织 》

售價:HK$ 82.8
大吴泥塑
《 大吴泥塑 》

售價:HK$ 81.6
写给每个人的哲学书:雅斯贝尔斯的14堂哲学思维课
《 写给每个人的哲学书:雅斯贝尔斯的14堂哲学思维课 》

售價:HK$ 59.9
人文精神与未来世界 (论世衡史:“人文精神”所指为何?在中西方各有怎样的历史?在与科技的对垒与融合中何去何从?) (人类文明的演化已经来到一个巨大转折点,人类今后将走向何方已经是迫在眉睫的问题了。)
《 人文精神与未来世界 (论世衡史:“人文精神”所指为何?在中西方各有怎样的历史?在与科技的对垒与融合中何去何从?) (人类文明的演化已经来到一个巨大转折点,人类今后将走向何方已经是迫在眉睫的问题了。) 》

售價:HK$ 93.6
BOSTON儿童骨科重建手术学 国际经典骨科学译著(精装)
《 BOSTON儿童骨科重建手术学 国际经典骨科学译著(精装) 》

售價:HK$ 429.6
敦煌写本斋文所见敦煌民众的精神世界与日常生活
《 敦煌写本斋文所见敦煌民众的精神世界与日常生活 》

售價:HK$ 237.6

 

建議一齊購買:

+

HK$ 60.7
《 临床基础检验学实验指导 》
+

HK$ 63.1
《 宪法学(第二版)(马克思主义理论研究和建设工程重点教材) 》
+

HK$ 118.8
《 组织行为学(第18版)(工商管理经典译丛) 》
+

HK$ 50.4
《 大学生礼仪(第二版) 》
+

HK$ 114.6
《 营养与食品卫生学(第8版/本科预防) 》
+

HK$ 121.2
《 自动控制原理(第七版) 》
編輯推薦:
EDA技术正朝着功能多样化、体积小型化、功耗最低化的方向迅速发展,在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。本书根据不断发展的EDA技术以及编者多年的教学经验和工程实践,吸取多方经验的基础上编写完成的。本书的编写突出以下特点:
(1)内容新颖、重点突出、讲解精练、强化实践,结合案例化教学的优点,引入了大量的实例,尽量做到用理论指导电子设计实践,用设计实例验证理论技术,实现了理论与实践的有机结合。
(2)提供了13个基本实验和10个综合实训案例,有助于读者进一步掌握数字系统的EDA设计方法,为复杂系统的设计打下坚实的基础。
內容簡介:
本书从教学和应用的角度出发,以培养学生的设计和应用开发能力为主线,使学生比较全面地掌握实用EDA技术设计系统的方法,为今后从事相关领域打下良好的基础。本书系统地介绍了EDA技术概述、硬件描述语言VHDL、可编程逻辑器件、实验开发系统、EDA技术实验和工程中典型的综合设计实例。本书取材广泛,内容简洁明快、引入大量典型实例。实例从难度上分为验证性、设计性和综合性三种类型,更好地帮助学习者分层次使用和掌握EDA技术。本书可作为本专科高等院校电子、自动化、通信、测控、电气工程和计算机等相关专业的教材或教师参考书。
關於作者:
周振超,辽宁科技学院自动化教研室主任,一直担任“EDA技术及应用”“自动控制原理”的教学,有丰富的教学及实践经验。编写多部教材,担任多部教材主编,并多次改版、重印。
目錄
第1章EDA技术概述
1.1EDA技术的概念及其发展
1.1.1EDA技术的概念
1.1.2EDA技术的发展史
1.1.3EDA技术的发展趋势
1.2EDA技术的知识体系
1.3EDA技术的特点
1.3.1EDA技术的设计方法
1.3.2EDA技术的开发流程
1.4EDA技术的应用
习题1
第2章VHDL设计基础
2.1VHDL概述
2.1.1常用硬件描述语言
2.1.2VHDL的概况
2.1.3VHDL的特点
2.2VHDL程序基本结构
2.2.1VHDL程序框架
2.2.2VHDL程序设计约定
2.2.3实体
2.2.4结构体
2.2.5库
2.2.6程序包
2.2.7配置
2.3VHDL语言要素
2.3.1VHDL的文字规则
2.3.2VHDL数据对象
2.3.3VHDL数据类型
2.3.4VHDL运算操作符
2.4VHDL顺序语句
2.4.1赋值语句
2.4.2转向控制语句
2.4.3等待语句
2.4.4空操作语句
2.4.5断言语句
2.4.6子程序调用语句
2.4.7返回语句
2.5VHDL并行语句
2.5.1进程语句
2.5.2块语句
2.5.3并行信号赋值语句
2.5.4元件例化语句
2.5.5生成语句
2.6VHDL的属性描述语句
2.6.1数组的常用属性
2.6.2数据类型的常用属性
2.6.3信号属性函数
2.7VHDL语言的描述风格
2.7.1行为描述
2.7.2数据流描述
2.7.3结构描述
习题2
第3章用VHDL程序实现常用逻辑电路
3.1组合逻辑电路设计
3.1.1基本门电路
3.1.2译码器
3.1.3编码器
3.1.47段码译码器
3.1.5数据选择器
3.1.6数值比较器
3.1.7算术运算电路
3.1.8三态门及总线缓冲器
3.2时序逻辑电路设计
3.2.1触发器
3.2.2锁存器
3.2.3寄存器和移位寄存器
3.2.4计数器
3.2.5分频器
3.2.6序列发生器和检测器
3.3存储器设计
3.3.1只读存储器(ROM)
3.3.2随机存储器(RAM)
3.4状态机设计
3.4.1Moore型状态机
3.4.2Mealy型状态机
习题3
第4章大规模可编程逻辑器件
4.1可编程逻辑器件概述
4.1.1PLD的概念
4.1.2PLD的发展历程
4.1.3PLD的分类
4.2简单可编程逻辑器件(SPLD)
4.2.1PROM
4.2.2PLA
4.2.3PAL
4.2.4GAL
4.3复杂可编程逻辑器件(CPLD)
4.3.1CPLD基本结构
4.3.2CPLD工作原理
4.4现场可编程门阵列(FPGA)
4.4.1FPGA基本结构
4.4.2FPGA工作原理
4.4.3FPGA的配置
4.5CPLD/FPGA的比较与选择
4.5.1CPLD/FPGA的性能比较
4.5.2CPLD/FPGA的开发应用选择
习题4
第5章EDA实验开发系统及应用
5.1GW48型EDA实验开发系统简介
5.1.1系统使用注意事项
5.1.2硬件符号功能说明
5.1.3开发系统的电路结构
5.2QuartusⅡ软件的安装
5.2.1系统要求
5.2.2安装步骤
5.2.3安装许可证
5.3QuartusⅡ的基本操作流程
5.3.1QuartusⅡ的原理图编辑输入法
5.3.2QuartusⅡ的文本编辑输入法
5.3.3QuartusⅡ的层次化设计方法
习题5
第6章EDA技术实验
6.1EDA软件的熟悉与使用
6.28位全加器的设计
6.3组合逻辑电路设计
6.4计数器的设计
6.5触发器功能的模拟实现
6.67段数码显示译码器设计
6.7数控分频器的设计
6.88位数码扫描显示电路设计
6.9正负脉宽数控调制信号发生器的设计
6.106位十进制数字频率计及设计
6.11DA接口电路与波形发生器设计
6.12VGA显示器彩条信号发生器设计
6.13音乐发生器的设计
第7章EDA技术综合应用
7.18位乘法器的设计
7.2交通信号灯的设计
7.3数字秒表的设计
7.4序列检测器的设计
7.5彩灯控制器设计
7.6数字钟的设计
7.7电子抢答器的设计
7.8电梯控制系统的设计
7.9出租车计费控制系统的设计
7.10数字波形产生器设计
参考文献
內容試閱
EDA技术是现代电子工程领域的一门新技术。随着电子技术的飞速发展,现代电子产品的性能进一步提高,集成化、智能化程度越来越高,产品更新换代的步伐也越来越快,而且正朝着功能多样化、体积小型化、功耗最低化的方向迅速发展,所有这些,都离不开EDA技术的发展。随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。
随着国家数字化教材的发展,本书以数字形态存在、可装载于数字终端阅读、可动态更新内容、可及时记录交互轨迹的新型学习材料。支持读者移动、泛在、自主学习。本书配有部分章节的音频、视频材料可供读者扫码学习。
本书根据不断发展的EDA技术,在编者多年的教学经验和工程实践的基础上编写而成。本书内容新颖、重点突出、讲解精练、强化实践,结合案例化教学的优点,引入了大量的实例,尽量做到用理论指导电子设计实践,用设计实例验证理论技术,实现了理论与实践的有机结合。本书提供了13个基本实验和10个综合实训案例。
全书共有7章,第1章为EDA技术概述,介绍EDA技术的概念及其发展,EDA技术的知识体系及特点。第2章为VHDL设计基础,介绍VHDL程序的基本结构、语言要素、常用语句。第3章为用VHDL程序实现常用逻辑电路,介绍EDA技术在组合逻辑电路、时序逻辑电路、存储器和状态机设计中的应用。第4章为大规模可编程逻辑器件,介绍可编程逻辑器件的发展历程和分类,CPLD/FPGA的基本结构和工作原理。第5章为EDA实验开发系统及应用,介绍GW48型EDA实验开发系统,QuartusⅡ软件的安装和基本操作流程。第6章为EDA技术实验,读者可以通过本章提供的13个基本实验,很好地掌握EDA技术。第7章为EDA技术综合应用,读者可以通过本章的案例,进一步掌握数字系统的EDA设计方法,为复杂系统的设计打下坚实的基础。
本书由辽宁科技学院周振超、冯暖、沈超、程雪婷编著。其中,冯暖编写了第1章和第5章; 沈超编写了第2章; 程雪婷编写了第3章和第4章; 周振超编写了第6章和第7章。全书由周振超统稿。
本书的编写与出版,得到了清华大学出版社的指导与支持; 书中还借鉴了许多学者和专家的著作及研究成果,在此一并表示衷心的感谢。
本书虽几经改动,但由于编者水平有限,书中难免存在错误和不妥之处,敬请广大读者批评指正。

编者2023年4月

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.